The present invention is a method and system for modeling wiring routing in circuit design. According to some embodiments, the wire model objects ("WMO") may be inserted into the wiring routing on a `WMO-per-segment` basis. According to some other embodiments, the wire model objects may be inserted into the wiring routing per groups of sequential segments. The entire wiring routing geometry may constitutes one group, and a wire model object may be inserted between the source point(s) and the target points based on the longest path in the routing geometry. An insertion rule may be selected based on any combination of the following factors: segment length, total path length, spacing between adjacent segments, wire metal and wire width. A wire model object may be selected from a group consisting of: {"C"; one "RC" arrangement; `n` times ".PI."-type filter arrangement, wherein n=1, 2, 3, . . . , }. Several length ranges may be predefined to associate each segment, or path, with a particular type of wire model object.

 
Web www.patentalert.com

< Pulse-width limited chip clock design

> Stored procedure interface language and tools

~ 00404