Systems and methods for timing-driven shape closure in integrated circuit ("IC") fabrication are provided. These Integrated Design-Manufacturing Processes ("IDMP") include a delta flow that integrates information of the IC fabrication timing and geometry verification processes into the IC design. The delta flow is an incremental flow that includes delta-geometry timing prediction processes and/or delta-timing shape prediction processes for processing difference information associated with circuit characterization parameters. The delta flow independently re-characterizes an IC design using the difference or delta information corresponding to the circuit characterization parameters. The delta flow provides delta outputs (incremental) that enhance or re-characterize corresponding parameters of the devices and interconnect structures without the need to generate new circuit characterization parameters and without the need to re-process all information of the IC design.

 
Web www.patentalert.com

> Anti-theft device for computer apparatus and a method for protecting computer apparatus thereby

~ 00346